Abstract

The semiconductor industry has developed various alternative approaches for obtaining high resolution patterns (sub-30 nm) using existing lithography techniques. Of the alternative approaches, self-aligned double patterning (SADP) is the approach which involves the fewest lithography steps. In SADP, a dummy pattern, also known as a mandrel, is first formed, followed by a conformal spacer material deposited on the patterned mandrel. Using dry reactive ion etching, spacer sidewalls are then formed. In the final step, the mandrel is removed by an etch process. The result is the double pattern of the spacer material. The advantage of this approach is that only one lithography step with the critical dimension of the double patterns is defined by the deposited spacer thickness. The disadvantage is the requirement of multiple, successive deposition and etch processes. In the present research, the authors demonstrate a reduction in required processing steps by using a novel mandrel/spacer approach for the SADP scheme. Using electron beam lithographically patterned hydrogen silsesquioxane resist and plasma enhanced chemical vapor deposition deposited low stress silicon nitride, the authors demonstrate a proof of concept of the SADP patterning scheme with reduced process steps.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.