Abstract

IR drop impacts circuit delay time and reliability. The IR drop comes from unexpected peak current (Ipeak) consumption. This paper proposes an efficient methodology with in-house EDA tools named IPR to analyze and reduce the Ipeak. IPR adopts dual threshold voltages (Vth) and gate resizing techniques, lowers the short, dynamic and static leakage current consumption without degrading system performance. IPR consists of two parts, i.e. Ipeak analysis and Ipeak alleviation processes. Nonlinear static/dynamic timing analysis techniques in cooperation with dual Vth cell library provide two kinds of accurate Ipeak calculation methods used in IPR. Using the incremental timing analysis, the Ipeak processing time can be accelerated. Demonstration of the ISCAS89 benchmark circuits shows that IPR can reduce Ipeak by 39%, power consumption by 14%, and delay time by 19%. In addition, it provides 334 times faster computation with 2% and 10% estimation errors of the Ipeak and power in gate level, respectively as compared to circuit level simulation results.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call