Abstract

Directory-based cache coherency is commonly accepted as the design of choice to provide high performance and scalability in coherency maintenance for many-core CMPs. However, the on-chip area overhead required to encode sharer sets may compromise their success as core count increases. In this work, we propose the Express COherence NOtification (ECONO) protocol, a simple and efficient Dir0B cache coherence protocol that does not require sharer sets encoding while approaching performance of a conventional directory-based protocol. To accomplish that, ECONO relies on express coherence notifications which are broadcast atomically over a dedicated lightweight on-chip network leveraging state-of-the-art technology. Detailed full-system simulation using a representative set of benchmarks corroborates our statement.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call