Abstract

We report on a continuous plasma etching process using SF6/O2/Ar gases for fabricating 100 μm deep tapered through-silicon vias (TSV). The mask diameters of the vias were 20, 30 and 50 μm. The flow rates of the process gases were changed to study their individual effect on the profile angle, via depth, sidewall roughness, and sideways undercut of the tapered vias. Tapered vias having profile angles varying from 70° to 85° and smooth sidewalls were etched by balancing the chemically-assisted isotropic etching of F* radicals, passivation film by O2, and ion-assisted passivation etching. Although, the profile angles of the etched vias were reduced by either increasing the SF6 flow rate or by reducing the O2 flow rate, the effect of SF6 gas was found to be dominant. The flow rates of SF6 and O2 were found to be the important factors which determine the continuous tapering of the vias with smooth via sidewalls. Ar gas flow rate did not significantly affect the tapered silicon vias and the profile angle. After considering the individual effects of each gas, an optimized etching recipe was fixed, which was used to etch 100 μm deep vias having a profile angle of 83°. Conformal layers of insulation and copper seed layers were deposited in the tapered vias. The tapered vias were partially filled by copper electrodeposition and redistribution lines were formed. The electrical resistance of tapered TSVs was measured to be between 3–8 mΩ for the majority of the TSVs, making these TSVs suitable for various MEMS packaging applications.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call