Abstract

This work explores the application of alternative developer solutions (“developers”) with the aim of understanding their potential effectivity in the reduction of resist-based stochastic pattern defects (or “stochastic defects”) in extreme ultraviolet lithography (EUVL). Specifically, the application of a quaternary ammonium hydroxide type aqueous developer; ethyltrimethylammonium hydroxide (ETMAH) in comparison to the industry de facto standard aqueous alkali tetramethylammonium hydroxide (TMAH) developer was investigated. Focusing on EUV exposed contact hole (CH) patterns on a typical chemically amplified resist (CAR), the effect of these developers on stochastic defects were assessed. As a result, patterning investigations showed that the lithographic performance of the CAR developed in ETMAH is comparable to the those obtained with TMAH. In situ resist dissolution analysis using the high-speed atomic force microscope (HS-AFM) confirms this as it showed that the rate of CH formation during resist dissolution in both developers are relatively the same. Moreover, it was also understood that compared to the commonly used alkali developer concentration of 0.26N, a lower ETMAH developer concentration of 0.20N resulted in stochastic defect margin improvement, while maintaining lithographic performance. In situ resist dissolution analysis showed an obvious slowing down of CH pattern formation rate at 0.20N concentration, suggesting the possibility that of over-dissolution at the higher concentration condition, translating to an increase in merging CH defects. The results from this study show the advantages of further pursuing optimal developers for EUVL. This is especially significant as these findings indicate how optimal developers mitigate resist-based stochastic defects while maintaining lithographic performance.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call