Abstract

Integration of porous low- k dielectric materials for interconnect technology at the ITRS 45 and 32 nm technology nodes with minimal low- k damage presents many challenges for etch, ash, cleans, and CMP processes. One method of reducing low- k damage is to integrate a MSQ-based dielectric containing a polymer porogen without decomposing the porogen until after copper metallization and CMP [White et al., Proceedings of the Advanced Metallization Conference, 2004, p. 122; M. Fayolle et al., Proceedings of the IITC, 2004, p. 208]. Maintaining a dense film through these critical processes, potentially solves the problems of low- k damage due to etch, ash and clean interactions with a porous structure as well as preserving copper barrier conformality. However, this integration holds major challenges for ash processes due to the requirements for the spin-on hardmask material used here, which has a high ash rate compared to CVD materials. Also trying to remove resist, BARC and etch polymer without interacting with either the MSQ matrix or polymer porogen proves difficult. We show how an optimized N 2/H 2 ash can be used for the via and trench level ash processes for the VFTL integration scheme. A variety of plasma-based lithography rework processes were also investigated with limited success. A dense layer film (CVD or metal hard-mask) may be necessary to enable lithography rework processing.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.