Abstract

Computational methods in memory array are being researched in many emerging memory technologies to conquer the ‘von Neumann bottleneck’. Resistive RAM (ReRAM) is a non-volatile memory, which supports Boolean logic operation, and adders can be implemented as a sequence of Boolean operations in the memory. While many in-memory adders have recently been proposed, their latency is exorbitant for increasing bit-width (O(n)). Decades of research in computer arithmetic have proven parallel-prefix technique to be the fastest addition technique in conventional CMOS-based binary adders. This work endeavors to move parallel-prefix addition to the memory array to significantly minimize the latency of in-memory addition. Majority logic was chosen as the fundamental logic primitive and parallel-prefix adders synthesized in majority logic were mapped to the memory array using the proposed algorithm. The proposed algorithm can be used to map any parallel-prefix adder to a memory array and mapping is performed in such a way that the latency of addition is minimized. The proposed algorithm enables addition in O(log(n)) latency in the memory array.

Highlights

  • Different in-memory adders have been proposed in the literature, the latency of in-memory adders is a severe disadvantage in in-memory computing, i.e., an addition operation needs a long sequence of Boolean operations

  • The latency of in-memory adders is a severe disadvantage in in-memory computing, i.e., any adder is implemented in the memory array as a long sequence of Boolean operations

  • A poorly optimized in-memory adder may take longer to compute than the combined time it takes to fetch data from memory and compute in a CMOS processor

Read more

Summary

Introduction

Conventional computer architecture is facing an acute problem—the ‘von Neumann bottleneck’ or ‘memory wall’. 2021, 11, 45 array by altering the structure of the memory array, the peripheral circuitry around the array, or both Arithmetic circuits such as adders can be implemented as a chain of such. Different in-memory adders have been proposed in the literature, the latency of in-memory adders is a severe disadvantage in in-memory computing, i.e., an addition operation needs a long sequence of Boolean operations. Having synthesized PP adders in majority logic, Section 4.3.3 elaborates how they can be mapped to the memory array.

In-Memory Adders: A Brief Review
Parallel-Prefix Adders: A Solution for the Carry-Propagation Problem
In-Memory Majority Gate
Homogeneous Synthesis of Parallel-Prefix Adders
Mapping Methodology
Objectives
In-Memory Mapping as an Optimization Problem
Algorithm
Simulation Methodology
Latency of In-Memory PP Adders with Increasing Bit-Width
Energy of In-Memory PP Adders with Increasing Bit-Width
Area of In-Memory PP Adders with Increasing Bit-Width
Comparison with Other In-Memory Adders
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call