Abstract

Network-on-Chip (NoC) has emerged as interconnect paradigm in state-of-the-art multi/many core architectures. Voltage and frequency island (VFI) was recently adopted as an effective energy management technique for large scale multicore chip designs. Focusing on NoCand VFI-based multi/many core real-time systems with Dynamic Voltage and Frequency Scaling (DVFS) capability, we study both static and dynamic contention-aware energy management schemes for task set with precedence relationships and a common deadline. First, our static schemes utilize two approaches with contention awareness to obtain the mapping of tasks to cores together with scheduling of communications on NoC for minimizing makespan, and thus can potentially lower uniform scaled frequency for cores and links while meeting the timeliness. Next, different from other existing schemes, by incorporating the latency due to network congestions into the analysis, our dynamic contention-aware energy management schemes perform the allocation of feasible slack to tasks and communications simultaneously for further energy savings, subject to common voltage and frequency limitations of VFI and timing constraints of task set. The results through extensive simulations and case studies show that, compared to heuristicbased and INLP-based task mapping solutions (with pessimistic estimation of communication contention), our static scheme can obtain better energy savings (e.g., 25 percent more). The results also show that our dynamic scheme can save up to 45 percent more energy compared to our static scheme under deadline guarantee, while the online scheme ignoring the traffic congestions in NoC can result in serious deadline violation and usually more energy consumption (e.g., 15 percent more).

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call