Abstract

I investigate techniques for dynamic reliability management (DRM) and dynamic energy management (DEM) in future network-on-chip based chip multiprocessors (CMPs). I propose and study a hybrid dynamic lifetime reliability management algorithm that combines thread migration and dynamic voltage and frequency scaling (DVFS) techniques so that lifetime reliability of the overall system is increased to the desired target with minimal performance degradation. Furthermore, I introduce a novel algorithm for dynamic energy management under performance constraints. The proposed algorithm is a very effective heuristic that also uses the DVFS technique and a very efficient workload prediction technique based on Kalman filtering and long-short-term-memory (LSTM). In addition, this work is going to take advantage of deep neural networks (DNN) models in the next phase of this dissertation.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call