Abstract

A contact hole shrink process using directed self-assembly lithography (DSAL) for sub-30 nm contact hole patterning is reported on. DSAL using graphoepitaxy and poly (styrene-block-methyl methacrylate) (PS-b -PMMA) a block copolymer (BCP) was demonstrated and characteristics of our process are spin-on-carbon prepattern and wet development. Feasibility of DSAL for semiconductor device manufacturing was investigated in terms of DSAL process window. Wet development process was optimized first; then critical dimension (CD) tolerance of prepattern was evaluated from three different aspects, which are DSA hole CD, contact edge roughness (CER), and hole open yield. Within 70+/−5 nm hole prepattern CD, 99.3% hole open yield was obtained and CD tolerance was 10 nm. Matching between polymer size and prepattern size is critical, because thick PS residual layer appears at the hole bottom when the prepattern holes are too small or too large and results in missing holes after pattern transfer. We verified the DSAL process on a 300-mm wafer at target prepattern CD and succeeded in patterning sub-30 nm holes on center, middle, and edge of wafer. Average prepattern CD of 72 nm could be shrunk uniformly to DSA hole pattern of 28.5 nm. By the DSAL process, CD uniformity was greatly improved from 7.6 to 1.4 nm, and CER was also improved from 3.9 to 0.73 nm. Those values represent typical DSAL rectification characteristics and are significant for semiconductor manufacturing. It is clearly demonstrated that the contact hole shrink using DSAL is a promising patterning method for next-generation lithography.

Highlights

  • A new patterning technique capable of generating fine patterns is strongly anticipated for advanced semiconductor device manufacturing

  • We investigate the feasibility of directed selfassembly lithography (DSAL) for semiconductor manufacturing in terms of DSAL process window

  • Feasibility of DSAL for semiconductor device manufacturing was investigated in terms of DSAL process window

Read more

Summary

Introduction

A new patterning technique capable of generating fine patterns is strongly anticipated for advanced semiconductor device manufacturing. We have already demonstrated the wet development process using poly (styrene-block-methyl methacrylate) (PSb-PMMA) in combination with deep ultraviolet (DUV) exposure, which induces chain scission in the PMMA block to promote dissolution in developer solvent.[1,2] The wet development process provides selective removal of PMMA block. It provides more remaining of PS film, which works as a mask on pattern transfer by reactive ion etching (RIE). This is the reason we selected SOC prepattern and wet development

Equipment
Lithography Process
Process Window of DSAL
Process Verification on 300 mm Wafer
Conclusion
Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.