Abstract

In this paper, we present a novel coarse-grained technique for monitoring online the bias temperature instability (BTI) aging of circuits by exploiting their power gating infrastructure. The proposed technique relies on monitoring the discharge time of the virtual-power-network during standby operations, the value of which depends on the threshold voltage of the CMOS devices in a power-gated design (PGD). It does not require any distributed sensors, because the virtual-power-network is already distributed in a PGD. It consists of a hardware block for measuring the discharge time concurrently with normal standby operations and a processing block for estimating the BTI aging status of the PGD according to collected measurements. Through SPICE simulation, we demonstrate that the BTI aging estimation error of the proposed technique is less than 1% and 6.2% for PGDs with static operating frequency and dynamic voltage and frequency scaling, respectively. Its area cost is also found negligible. The power gating minimum idle time (MIT) cost induced by the energy consumed for monitoring the discharge time is evaluated on two scalar machine models using either x86 or ARM instruction sets. It is found less than $1.3\times $ and $1.45\times $ the original power gating MIT, respectively. We validate the proposed technique through accelerated aging experiments conducted with five actual chips that contain an ARM cortex M0 processor, manufactured with a 65 nm CMOS technology.

Highlights

  • B IAS temperature instability (BTI) is the major aging mechanism in very deep submicron CMOS technologies [1]

  • We present a novel coarse-grained bias temperature instability (BTI) aging monitoring technique, which is applicable on power-gated designs (PGDs)

  • We show that the leakage current reduction of BTI aging in nanometer technologies [17], [18] impacts considerably the virtual-power-network discharge time during the standby of a PGD

Read more

Summary

INTRODUCTION

B IAS temperature instability (BTI) is the major aging mechanism in very deep submicron CMOS technologies [1]. Many techniques for monitoring online the BTI provide a warning about imminent faults by focusing at its local detrimental effects They monitor, in a fine-grained fashion, devices or paths in a design that are more vulnerable to aging [2]–[12]. Many online applications require a global indication about the BTI status of a circuit without a warning indication about imminent faults For such applications, a low-cost indication about the BTI status of a design, in a coarse-grained fashion, can be practical, and the high cost of fine-grained monitoring could be avoided. We present a novel coarse-grained BTI aging monitoring technique, which is applicable on power-gated designs (PGDs). The proposed technique provides an indication about the average aging status of all the CMOS devices in the PGD, and cannot be used for providing a warning about imminent faults It features some advantages over path-based monitoring techniques.

BACKGROUND
PROPOSED BTI MONITORING TECHNIQUE FOR PGDs
Online Processing Block and Cost Analysis
SIMULATION RESULTS
Monte Carlo Simulation Setup
Robustness to Noise
Results on Circuits Implementing Various DTM Policies
Temperature Variation During Standby Operations
Area Cost and System Memory Requirements
Energy and Minimum Idle Time Cost
EXPERIMENTAL VALIDATION
CONCLUSION
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call