Abstract

As resolution requirements increase there is a need for high performance ultra thin resists, which has led to significant interest in molecular resists. We have previously described a fullerene based resist whose electron beam lithography properties include sparse resolution of ~12 nm, half pitch ~20 nm, sub 5 nm linewidth roughness (LWR), sub 10 μC/cm <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sup> sensitivity, and high etch durability. The material shows extremely wide process latitude and LWR <;2 nm in sparse features. Initial results of exposure via EUV lithography indicate a resolution capability of at least 30 nm half pitch. As resist films have become thinner to mitigate aspect ratio related pattern collapse, etching has become more challenging. We have studied the ICP plasma etching of high-resolution patterns in sub 40 nm thickness films of the fullerene resist. Silicon structures of 20 nm width and more than 100 nm height have been demonstrated. Additionally we have developed a fullerene based spin-on-carbon for use in a tri-layer etching scheme allowing aspect ratios greater than 19:1 to be achieved in room temperature ICP etching of sub 30 nm patterns. The same trilayer scheme has also been deployed for colloidal lithography fabrication of sub 100 nm silicon pillars with aspect ratios >;17:1.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.