Abstract

Recently there has been significant interest in the field of molecular resists as a route to shrinking the trade-off between resolution, line width roughness and sensitivity for next generation lithography. We have previously presented initial results of a three component fullerene derivative based negative tone chemically amplified electron beam resist with sparse feature resolution of ~12 nm, half pitch resolution of ~20 nm, sub 5 nm line width roughness, sub 10 &mu;C/cm<sup>2</sup> sensitivity, and high etch durability. Here we present a further study of the properties of this molecular resist. The material shows extremely wide process latitude. In particular it has been shown that the resolution and sensitivity of the resist are not strongly affected by the post exposure bake conditions. Indeed a post exposure bake was not required at all in order to observe high resolution high sensitivity behavior from the material implying that this is a low activation energy resist. Such low E<sub>a</sub> resists were original developed to address problems with airborne contaminants (T-topping) but can suffer from problems with extended post exposure delay (acid diffusion) and with sensitivity to humidity. However, the fullerene based resist was extremely stable under ambient conditions and for post exposure delays of 24 hours, regardless of whether a post exposure bake was applied, showing that the post exposure bake step can be eliminated for this resist, and furthermore that the chemical amplification reaction in the material is in some way self limiting.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call