Abstract

Extreme Ultraviolet Lithography (EUVL) is one of the leading candidates for Next-Generation Lithography in the sub-45-nm regime. One of the key components in the development of EUVL is understanding and characterizing the response of the mask when it is electrostatically chucked in the exposure tool. In this study, finite element (FE) models have been developed to simulate the reticle / chuck system under typical exposure conditions. FE simulations are used to illustrate (a) the effects of the nonflatness of the reticle and chuck, (b) the image placement errors induced by back-side particulates, (c) the influence of the coefficient of friction between the reticle and chuck during exposure scanning, and (d) the effects of contact conductance on the thermomechanical response of the reticle. The focus of this paper is to illustrate that mechanical modeling and simulation has now become a fundamental tool in the design of electrostatic pin chucks for the EUVL technology.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call