Abstract

We present BAG2, a framework for the development of process-portable Analog and Mixed Signal (AMS) circuit generators. Such generators are parametrized design procedures that produce schematics, layouts, and verification testbenches for a circuit given input specifications. This paper expands on previous work by introducing a universal AMS circuit verification framework into BAG2, as well as two new layout engines, XBase and Laygo, that enable development of process-portable layout generators. We have developed various complex circuit generators as driving examples, including a time-interleaved SAR ADC and a SerDes transceiver frontend. Instances of these designs have been produced in a TSMC 16nm FFC process; we however verify our claims of process portability by presenting circuits generated (using a single methodology code-base and only primitives adapted to the specific process) in various technology nodes, including TSMC 28nm, TSMC 16nm, GLOBALFOUNDRIES 45nm RF-SOI, ST 28nm FD-SOI, and GLOBALFOUNDRIES 22nm FDX.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call