Abstract

Low-power secure applications such as Radio Frequency IDentification (RFID) and smart cards represent extremely constrained environments in terms of power consumption and die area. This paper investigates the power, delay and security performances of the dynamic differential swing limited logic (DDSLL). A complete analysis of an advanced encryption standard (AES) S-box is conducted using a low-power (LP) 65 nm CMOS technology node. Measurements show that the DDSLL S-box has 35% less power consumption than the static CMOS S-box, with an area increase of only 12%, at the expense of a 2.5× increase in delay which remains fairly acceptable for low-power applications such as RFIDs and smart cards. Also when compared to other dynamic differential logic (DDL) styles, simulation results show that DDSLL and dynamic current mode logic (DyCML) consume the same power which is about 1.8× less that of sense amplifier based logic (SABL). The effect of process variations is also studied, measurement results show that the DDSLL style has lower variability in terms of dynamic power as the activity factor (αF) is deterministic thanks to glitch-free operation. As for security, the perceived information metric demonstrates that the DDSLL S-box has a 3× security margin compared to static CMOS. Therefore, DDSLL presents an interesting tradeoff between improved security and area constrained low-power designs.

Highlights

  • Low-power applications that require a certain amount of security such as passive Radio FrequencyIDentification (RFID) and smart cards feature loose constraints in terms of speed performance, but are highly challenging in terms of power consumption and chip area [1,2,3,4,5]

  • In order to demonstrate the special features of the dynamic differential swing limited logic (DDSLL) style, a combinatorial circuit is designed using sense amplifier based logic (SABL), dynamic current mode logic (DyCML), DDSLL and static CMOS style as a reference

  • For the static CMOS S-box, the chain is constructed of 34 stages, whereas the DDSLL S-box chain consists of 40 stages

Read more

Summary

Introduction

Low-power applications that require a certain amount of security such as passive Radio Frequency. DyCML [17] which is a low-swing, self-timed logic, shows 18% less power consumption compared to static CMOS using the Khazad S-box as a test case. Another new impact of process variations on static CMOS, which appears in sub 65 nm CMOS technology, is the variability of the dynamic power [28] This might jeopardize power closure (supply rail sizing, decap insertion, regulator design) in wireless secure applications such as RFIDs which are highly power- and cost-constrained. The DDSLL style is one of several DDL self-timed styles developed by [19] for secure low-power applications It features a precharge phase where all differential outputs are charged to VDD. If operated with a self-time scheme, the completion signal denoted by ENO (i.e., ENd of Operation) is propagated to the logic stage to start its evaluation phase

DDSLL Circuit Topology
DDSLL Functional Operation
DDSLL Circuit Design
NMOS Trees Creation
Sharing Principle
Interface with Static CMOS Logic
Evaluation
Simulation Results of DDSLL and Other State-of-the-Art Logic Styles
Case Study
Effect of Sharing in Dynamic Differential Logic
Power and Delay Comparison
Security Simulation Results
Effect of Routing Parasitics
Variability Effect on the Power Consumption of DDSLL and Static CMOS Styles
With-in-Die Variability
Die-to-Die Variability
WID Variability Effect on S-Box
Measurement Results
Test Chip Implementation
Measurement Setup
Power Consumption Measurement Results
Variability Effect on Power Consumption Measurement Results
Delay Measurement Results
Security Results
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call