Abstract

This paper presents a low power self-calibrated delay-line based temperature sensor intended for Very Large Scale Integration (VLSI) thermal management applications. It proposes a fully digital automatic self-calibration method that removes the sensitivity to process variations in delay-line based temperature sensors. This method requires only one calibration block to calibrate multiple delay-line based temperature sensors sequentially. Both the proposed temperature sensors and the self-calibration method were verified experimentally on field programmable gate arrays (FPGAs) and on 65nm and 0.13µm custom ICs. The measurement results on three 65nm custom ICs show a resolution of 0.4°C with 3σ errors of ±3.0°C, from 20 to 80°C. The proposed temperature sensors were implemented on a FPGA based VLSI thermal management system. Four microprocessor cores were mapped onto the corners of a Cyclone IV FPGA chip to emulate the VLSI load. Each core has a proposed temperature sensor close by. The runtime thermal profiles were obtained for four microprocessor cores, with eight different Dynamic Thermal Management (DTM) methods. Experimental results from different DTM techniques were studied.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.