Abstract

In the production of integrated circuits (e.g. computer chips), optical lithography is used to transfer a pattern onto a semiconductor substrate (wafer). For lithographic systems using light in the ultraviolet band (EUV) with a 13.5nm nm wavelength, only reflective optics with multi-layers can reflect that light by means of interlayer interference, but these mirrors absorb around 30% of the incident light. Depending on pattern and beam shape, there is a nonuniform light distribution over the surface of the mirrors. This causes temperature gradients and therefore local deformations, due to different thermal expansions. To improve the throughput (wafers per hour), there is a demand to increase the source power, that will increase these deformations even further. Active mirrors are a solution to correct these deformations by reshaping the surface. This thesis addresses the challenges to accurate deform a mirror with high repeatability, meeting the requirements for implementation in a lithographic illumination machine. The main design criteria are vacuum compatibility, actuator stroke and the distance between actuators. Four different experimental mirrors, with increasing complexity, are successfully designed, realized and validated. All mirrors are equipped with thermomechanical actuators to either bend, or axially deform them. These actuators are free from mechanical hysteresis and therefore have a high position resolution with high reproducibility. Extensive finite element analysis is done, to maximize actuator stroke and minimize input power. All mirrors are tested and validated with interferometer surface measurements and thermocouple temperature measurements. The first experimental mirror with one thermo-mechanical bending actuator is successfully built and tested (chapter 2). To obtain a high mirror deflection at a given inserted actuator power, aluminum is chosen as the actuator material. The mirror is made from Zerodur® like the mirrors in the first EUV lithographic demonstration machines. A mirror deformation of 4:7 nm/C is achieved, where the inserted actuator power is 0.044 C/mW, meaning 0:21 nm/mW. The measured characteristic time constant is 10 s, meaning that for a given input, 63% of the steady state stroke is reached within that time scale. All values are close to the predicted ones from the models and also meet the requirements for implementation. To further investigate the concept and to measure the mechanical and thermal actuator coupling, an experimental mirror with four actuators is designed, developed and validated (chapter 3). It is an extension of the mirror with one actuator. In a single actuator step-response, a mirror deflection of 3.4 nm/C is achieved. A design optimization is proposed and successfully tested which reduces the actuator coupling from 30% to 10%, while the mirror deflection at the same input is reduced to 55%. Actuator speed is demonstrated while simultaneously heating all actuators with 3mW, which correspond with a mirror deformation of 33 pm/s. When using an adaptive mirror in an EUV lithography system, actuator strokes of 1 nm/min are required. The demonstrated actuator speed of 33 pm/s = 2 nm/min meets that requirement. The third and fourth mirror have actuators placed perpendicular to the surface (chapter 4). By placing the actuators on a thin back plate, the force loop is localized and therefore a lower actuator coupling is achieved. The results obtained from the third mirror with 7 actuators are close to the predicted values from the static and thermal models. Based on these good results, this actuation principle is implemented in a smaller deformable mirror with 19 actuators inside a 25mm beam diameter. A linear relation between actuator power and temperature of 0.190 C/mW and between power and averaged interactuator stroke of 0.13 nm/mW is achieved. So, the successfully realized mirror deflection is 0:68 nm/ C and no hysteresis is observed. For both mirrors a support frame is developed, that minimizes introduced surface deformations by temperature variations. Thermal step responses are fitted and both heating and cooling characteristic time constants are 2:5 s. The thermal actuator coupling from an energized actuator to its direct neighbor is 6:0, to their neighbors it is 1:3%. The total actuator coupling is approximated around 10%, based on the good agreement between simulated and measured inter-actuator stroke. Finally, chapter 5 summarizes the main findings from the different deformable mirrors and compares them. Also, suggestions for future research are given for implementation into a lithographic machine.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.