Published in last 50 years
Related Topics
Articles published on Plasma-enhanced Atomic Layer Deposition
- New
- Research Article
- 10.1116/6.0004718
- Nov 4, 2025
- Journal of Vacuum Science & Technology A
- P M Litwin + 6 more
In this work, we investigate the deposition of VOx thin films by plasma-enhanced atomic layer deposition. Specifically, we focus on the effects of the plasma operating conditions, including applied power, O2/Ar gas flow ratio, pressure, and coupling mode on the resulting thin film crystallinity and stoichiometry. In addition, we characterize the plasma and correlate the findings to the changes observed in the produced VOx thin films. The majority of conditions investigated yield crystalline V2O5. However, by increasing the pressure during the plasma half cycle, the V2O5 film can be deposited with a reduction in the crystalline character without any significant changes in stoichiometry. Langmuir probe measurements reveal that both the ion flux and plasma potential decrease with increasing pressure during the plasma step, which results in a corresponding drop in the energy flux density and ion energy delivered to the surface of the thin film. Analysis of the various plasma conditions investigated shows that increasing the pressure uniquely resulted in a decrease in the energy flux density and ion energy below a critical barrier necessary for complete crystallization of the deposited VOx films.
- New
- Research Article
- 10.35848/1882-0786/ae1b62
- Nov 4, 2025
- Applied Physics Express
- Yuto Kawato + 4 more
Abstract In this study, we established a microwave remote plasma source (MW-RPS) to perform plasma-enhanced atomic layer deposition to grow In 2 O 3 channel for FET applications. We compared MW-RPS and a conventional capacitively coupled plasma (CCP) source to investigate the effects of oxidation time in O 2 plasma on the physical and electrical properties of In 2 O 3 . Our results demonstrate that MW-RPS enables both smooth surface morphology and sufficient oxidation even with prolonged plasma exposure. The extracted intrinsic field-effect mobility of the fabricated FETs with MW-RPS-derived In 2 O 3 channels increased significantly with oxidation time compared to that of CCP, reaching a value of 106.2 cm 2 /Vs.
- New
- Research Article
- 10.1063/5.0292737
- Nov 1, 2025
- APL Materials
- Nidhi Choudhary + 6 more
Atomic-scale processing and precise control of superconducting thin films are essential for the advancement and large-scale implementation of superconducting quantum technologies. Consequently, detailed analysis of the structural features and elemental composition of such superconducting films is a key element in developing highly sensitive and efficient superconducting nanowire single photon detectors. In this work, we use advanced techniques in scanning transmission electron microscopy (STEM), specifically 4-dimensional STEM (4DSTEM) and electron energy loss spectroscopy (EELS), to analyze the structure and chemistry of two few-nanometer-thick films of NbN and NbTiN deposited by plasma-enhanced atomic layer deposition. Digital dark field imaging is used to image the crystalline core of the films, separate from the silicon substrate and protective platinum overlayer, and the data are used for quantitative measurement of lattice parameters. EELS mapping correlates the structural data with local chemistry and indicates the coexistence of superconducting NbC within the films. Crystalline rock-salt structured carbonitrides are found in both cases, and their lattice parameters can be accurately and reliably measured from hundreds of datapoints from different pixels in the scan area. These correlate well with the expected chemical composition. Both films feature a Si–N rich reaction layer, with Ti also present in NbTiN films. Interestingly, significant diffusion seems to occur in both films, differing from the atomic-layer sharpness sometimes presumed. Nevertheless, the presence of a continuous film with an appropriate structure and composition confirms that the process is suitable for superconducting applications, although further optimization could improve interface control and composition.
- New
- Research Article
- 10.1016/j.mssp.2025.109829
- Nov 1, 2025
- Materials Science in Semiconductor Processing
- Sarah Riazimehr + 9 more
Plasma-enhanced atomic layer deposition of Al2O3 on graphene via an in situ-deposited interlayer
- New
- Research Article
- 10.1116/6.0004879
- Oct 28, 2025
- Journal of Vacuum Science & Technology A
- Somayeh Shams + 4 more
Modulation acceptor doping (MAD) of silicon through Al-induced acceptor states in SiO2 enables high hole densities without introducing impurities into the Si lattice, but the required uncapped Al-doped SiO2 stack degrades rapidly in air. We demonstrate that an atomic-layer-deposited (ALD) silicon dioxide capping layer can prevent degradation without adding significant levels of parasitic charge. SiO2 was grown from tris(dimethylamino)silane using either ozone for thermal ALD or O2-plasma for plasma-enhanced ALD (PEALD) at different deposition temperatures, followed by rapid thermal annealing (RTA). Film quality was characterized by the refractive index, the wet-etch rate, Fourier-transform infrared spectroscopy (FTIR), and high-frequency capacitance-voltage (C-V) measurements on metal–oxide–semiconductor (MOS) capacitors. FTIR revealed Si–OH bending and O–H stretching bands in the as-deposited films that both vanished after RTA, consistent with hydrogen effusion and the observed twofold reduction in the buffered hydrofluoric acid etch rate. The optimum process, i.e., PEALD at 200 °C, produced after RTA an SiO2 thin film with a wet-etch rate of 0.4 nm s−1, the lowest fixed-charge density (Qfix ≈ + 1 × 1012 cm−2), and negligible C-V hysteresis. This optimized SiO2 cap was integrated into MAD MOS capacitors made of SiO2:Al. The protected devices retained a negative Qfix of −4 × 1012 cm−2, identical to previous reports, demonstrating no interference with MAD mechanism. These results establish PEALD SiO2 as a conformal, charge-lean capping layer that preserves the electrical properties of SiO2:Al modulation acceptor doping and paves the way for a novel alternative doping concept for silicon nanostructures.
- New
- Research Article
- 10.1021/acsami.5c15918
- Oct 21, 2025
- ACS applied materials & interfaces
- Janguk Han + 8 more
Plasma-based interfacial treatments have previously enhanced the performance of filamentary-conductive resistive switching memories (RSMs). Still, strategies for improving bulk-conductive RSMs remain limited. While the bulk-conductive RSM has been explored for neuromorphic computing due to its gradual and analog switching behavior that allows for linear conductance change, it suffers from endurance degradation under repeated cycling. This study introduces a cyclic plasma treatment (CPT) method, employing periodic Ar plasma exposure during the deposition of an HfO2 switching layer via plasma-enhanced atomic layer deposition. The performances of W/HfO2/TiN (WHT) bulk-conductive RSMs with and without CPT were compared to evaluate the influence of CPT. The CPT effectively decreased switching degradation by introducing additional oxygen vacancies into the switching layer, compensating for the loss of trap sites caused by oxygen recombination. Device endurance improved from 104 to 106 cycles, and cycle-to-cycle and device-to-device variations improved by 77% and 78%, respectively. The MNIST classification simulation was performed by using cyclic plasma-treated WHT RSMs, achieving a high accuracy of 91.4%. This result demonstrates CPT as a promising solution for enhancing bulk-conductive resistive switching in neuromorphic computing.
- New
- Research Article
- 10.1038/s41598-025-20296-z
- Oct 21, 2025
- Scientific Reports
- Sang Woo Park + 3 more
Cu-Cu hybrid bonding is a key technology for fine-pitch interconnections in advanced semiconductor packaging. However, native Cu oxidation and interface instability hinder reliable low-temperature bonding. While noble metal passivation can mitigate oxidation, conventional sputtering-based methods pose challenges for Cu/SiO2 hybrid bonding. Atomic layer deposition (ALD) offers a promising alternative for area-selective metal passivation, enabling precise deposition on Cu surfaces without affecting surrounding dielectrics. In this study, we evaluate the bonding characteristics of ruthenium (Ru) passivation layers deposited using plasma-enhanced ALD (PEALD) and compare them with sputtered Ru passivation layers. While PEALD Ru exhibited comparable thin-film properties, bonding performance was significantly lower, as confirmed by scanning acoustic tomography (SAT) and shear strength measurements. Further analysis revealed that lower crystallinity in PEALD Ru and changes in Cu surface properties due to thermal pre-treatment contributed to reduced bonding strength. These findings provide insights for optimizing ALD-based metal passivation, enabling improved Cu/SiO2 hybrid bonding for advanced semiconductor packaging.Supplementary InformationThe online version contains supplementary material available at 10.1038/s41598-025-20296-z.
- Research Article
- 10.1063/5.0287051
- Oct 8, 2025
- Journal of Applied Physics
- Tung-Yuan Yu + 4 more
This study investigated the band alignment and material properties of HfO2 on In2O3 after oxygen annealing. HfO2 and In2O3 samples were deposited using plasma-enhanced atomic layer deposition and subjected to oxygen annealing. The samples were then characterized using x-ray diffraction, transmission electron microscopy, x-ray photoelectron spectroscopy, reflection electron energy loss spectroscopy, ultraviolet photoelectron spectroscopy, inverse photoemission spectroscopy, and conductive atomic force microscopy. During the plasma-enhanced atomic layer deposition of HfO2 on In2O3, oxygen-deficient In2O3 regions were formed at the HfO2/In2O3 interface. These regions were healed in the presence of O2 during annealing. XPS depth profile analysis revealed an increase in interfacial oxygen concentration with the rise in annealing temperature. The conduction and valence band offsets (ΔEC and ΔEV) between HfO2 and In2O3 were determined to be 1.6 and 0.7 eV, respectively, while interfacial defect states were identified at approximately 1.3 eV above the In2O3 valence band. Additionally, the increased prominence of the higher-energy In 3d5/2 peak (444.8 eV) after annealing indicates a reduction in interfacial defect density. These results indicate that oxygen annealing not only mitigates interfacial defects but also significantly reduces oxide leakage current, making it a promising approach for developing high-performance and thermally stable HfO2 gate dielectrics in In2O3-based integrated circuit applications.
- Research Article
- 10.1002/adma.202512414
- Oct 4, 2025
- Advanced materials (Deerfield Beach, Fla.)
- Chengli Rong + 3 more
The development of highly active catalysts has significantly advanced water electrolysis for green hydrogen production. However, translating these materials from laboratory-scale demonstrations to industrial proton exchange membrane water electrolyzers (PEMWEs) remains a major challenge. In this perspective, key gaps are identified between academic electrocatalyst research for hydrogen evolution reaction (HER) and oxygen evolution reaction (OER) in acidic media and the stringent requirements of industrial PEMWEs. The scalability and industrial relevance of current catalyst synthesis and electrode fabrication techniques are critically analyzed, proposing scalable routes such as plasma-enhanced atomic layer deposition, roll-to-roll processing, and electrodeposition. The discrepancies in testing protocols between three-electrode aqueous cells, membrane electrode assemblies and full electrolysis stacks are further discussed highlighting the challenges of making direct performance comparisons. To bridge this gap, relevant activity descriptors that connect catalyst properties with device-level performance under industrial conditions are introduced, and critically highlight the importance of conducting both operando characterization and techno-economic analysis. Finally, strategies to enhance both catalytic activity and durability, including electronic metal-support interactions, porosity engineering, and single-atom catalyst design, are highlighted. By integrating synthesis, testing, and mechanistic insights, this perspective offers a comprehensive roadmap to rationally design and implement next-generation catalysts tailored for scalable, durable, and efficient industrial green hydrogen production.
- Research Article
- 10.1016/j.vacuum.2025.114504
- Oct 1, 2025
- Vacuum
- Daria Jardas Babić + 7 more
Photocatalytic properties of thin ZnO films synthesised with plasma-enhanced atomic layer deposition at room temperature
- Research Article
- 10.1149/2162-8777/ae0db7
- Oct 1, 2025
- ECS Journal of Solid State Science and Technology
- Ding-Yeong Wang + 5 more
Abstract Hf1-xZrxO2 (HZO) high-k dielectrics were fabricated by plasma-enhanced atomic layer deposition (PE-ALD). A conformal MoOx cap with high-thermal stability was then deposited before high-temperature post-metal annealing. Diffraction peaks of HZOs corresponding to orthorhombic (o)- and tetragonal (t)-phases are located at 230.0-30.5o, where the angle of o-phase is slightly lower than that of t-phase. The increase in intensity of o-phase results in high ferroelectricity, which is consistent with polarization-electric field (P-E) characteristics. The relative dielectric constant (k) close to 35 is detected in a wide Hf/Zr cycle ratio ranging from 3 to 1/3, despite dielectric enhancement typically being associated with the morphotropic phase boundary (MPB) at a specific o-to-t phase ratio. Although the leakage currents with and without the MoOₓ cap are comparable, the temperature-dependent current conduction mechanisms differ. The predominance of Schottky emission after capping suggests the formation of a high-quality interface. These findings demonstrate that surface passivation with an ultra-thin MoOₓ layer is an effective approach for maximizing the dielectric constant of HZO across a wide compositional window.
- Research Article
- 10.3390/ma18194531
- Sep 29, 2025
- Materials (Basel, Switzerland)
- Zhaopeng Bai + 7 more
In this study, we investigate the improvement of physical and electrical characteristics in 4H-silicon carbide (SiC) MOS capacitors using Aluminum Oxynitride (AlON) thin films fabricated via Plasma-Enhanced Atomic Layer Deposition (PEALD). AlON thin films are grown on SiC substrates using a high ratio of NH3 and O2 as nitrogen and oxygen sources through PEALD technology, with improved material properties and electrical performance. The AlON films exhibited excellent thickness uniformity, with a minimal error of only 0.14%, a high refractive index of 1.90, and a low surface roughness of 0.912 nm, demonstrating the precision of the PEALD process. Through XPS depth profiling and electrical characterization, it was found that the AlON/SiC interface showed a smooth transition from Al-N and Al-O at the surface to Al-O-Si at the interface, ensuring robust bonding. Electrical measurements indicated that the SiC/AlON MOS capacitors demonstrated Type I band alignment with a valence band offset of 1.68 eV and a conduction band offset of 1.16 eV. Additionally, the device demonstrated a low interface state density (Dit) of 7.6 × 1011 cm-2·eV-1 with a high breakdown field strength of 10.4 MV/cm. The results highlight AlON's potential for enhancing the performance of high-voltage, high-power SiC devices.
- Research Article
- 10.1021/acsami.5c12014
- Sep 5, 2025
- ACS Applied Materials & Interfaces
- Alberto Martínez + 10 more
The relentless scaling of semiconductor technology demandsmaterialsbeyond silicon to sustain performance improvements. Transition metaldichalcogenides (TMDs), particularly MoS2, offer excellentelectronic properties; however, achieving scalable and CMOS-compatiblefabrication remains a critical challenge. Here, we demonstrate a scalableand BEOL-compatible approach for the direct wafer-scale growth ofMoS2 devices using plasma-enhanced atomic layer deposition(PE-ALD) at temperatures below 450 °C, fully compliant with CMOSthermal budgets. This method enables the fabrication of MoS2-based devices directly on target substrates, eliminating materialtransfer while ensuring robust adhesion and integration with semiconductorprocessing. The resulting field-effect transistors (FETs) exhibitstable ambipolar behavior, consistent across semiconductor thicknessvariations and environmental conditions. Electrical characterizationreveals minimal Fermi-level pinning, with Schottky barrier heightsbelow 120 meV for both carriers, supporting a well-defined thermionictransport regime. Low-frequency noise measurements confirm flickernoise characteristics, typical of planar field-effect devices. Materialconductivity is significantly enhanced through in situ, BEOL-compatibledielectric passivation or sulfur-atmosphere annealing. This work highlightsthe potential to directly fabricate, lithographically pattern, andencapsulate MoS2 devices for three-dimensional (3D) integration,fully compliant with silicon CMOS thermal constraints.
- Research Article
- 10.1021/acs.chemmater.5c01881
- Sep 3, 2025
- Chemistry of Materials
- Maria Gabriela Sales + 10 more
High purity aluminum in its bulk form has intrinsicallyhigh reflectancein the far-ultraviolet (FUV) regime and finds utility in astrophysicalinstrumentation applications. However, bulk Al oxidizes rapidly inthe atmosphere, and its native oxide strongly absorbs and severelydegrades the observed FUV properties relative to bare Al. Varioustechniques have been investigated to produce coatings that inhibitaluminum oxide formation and lead to high FUV mirror reflectance.This work examines the development and use of a uniquely modified,hybrid plasma-enhanced atomic layer deposition (PEALD) system to passivatealuminum mirrors with metal fluoride films. This system combines twoplasma sources in a commercial atomic layer deposition (ALD) reactor.The first is a conventional inductively coupled plasma (ICP) sourceoperated as a remote plasma, and the second is an electron beam (e-beam)driven plasma near the mirror surface. To establish the operatingconditions for the in situ e-beam plasma source, the effects of samplegrounding, SF6/Ar flow, and sample temperature on resultingAlF3 films were investigated. Optimal operating conditionsproduced mirrors with excellent FUV reflectivity, 92% at 121 nm and42% at 103 nm wavelengths, which is comparable to state-of-the-artAlF3-based passivation coatings and matches that of previouslyreported ex situ e-beam plasma-processed mirrors. This optimized insitu e-beam process, along with XeF2 passivation, is thenexplored to produce a clean seed layer (unoxidized Al surface) forsubsequent PEALD of AlF3. Both approaches are demonstratedas valid pretreatments before PEALD of AlF3, showing apromising pathway for the deposition of other fluoride-based layers,such as MgF2 or LiF, with ALD or PEALD.
- Research Article
- 10.1016/j.tsf.2025.140738
- Sep 1, 2025
- Thin Solid Films
- Holden Paulette + 6 more
Plasma-enhanced thermal atomic layer deposition of nickel oxide and nickel carbide thin films
- Research Article
- 10.1002/solr.202500510
- Aug 27, 2025
- Solar RRL
- Christina Hollemann + 7 more
Ultraviolet (UV)‐induced degradation is a critical issue for modern photovoltaic (PV) technologies such as passivated emitter and rear cell (PERC), tunnel oxide‐passivated contact (TOPCon), and heterojunction (HJT) cell concepts. This study compares the stability against UV radiation of AlOx/SiNy stacks on mini‐modules with p‐type back junction solar cells. Our cells have a nondiffused textured front surface passivated with an AlOx/SiNy layer stack and feature passivating polysilicon on oxide rear contacts. We compare plasma‐enhanced chemical vapor deposition (PECVD) and plasma‐enhanced atomic layer deposition (PEALD) processes for the deposition of AlOx layers using the same tube‐type deposition system. After a UV dose of 146 kWh/m2 using broadband UV lamps, modules with PECVD‐AlOx exhibit an efficiency loss of up to 27% while those with PEALD‐AlOx show minimal degradation of 2.5%. This comparison proves that the superior UV stability is achieved with the tube‐type PEALD technique. Our findings thus show how UV stability can be improved without extra equipment dedicated solely to depositing ALD‐AlOx and without UV absorbing or down converting encapsulants.
- Research Article
- 10.1063/5.0272590
- Aug 18, 2025
- Applied Physics Letters
- Soyeon Kim + 11 more
In this study, we fabricated thin-film transistors by depositing Cu2O through plasma-enhanced atomic layer deposition (3.6 k cycles), which has the advantages of enhanced chemical reactivity and improved crystal structure of thin films compared to conventional atomic layer deposition. We analyzed traps near the valence band using multi-wavelength light that extended up to the above bandgap, in conjunction with dark-state measured data. Using multi-wavelength light, we extracted traps dependent on the gate voltage. Traps with underestimated density due to limited detectability within the bandgap, dependent on the energy levels of the wavelengths, are filled with photons to extract the captured traps (holes). Our results reveal that the energy-dependent density peaks of VCu and VO, which strongly influence the p-type conduction mechanism, were observed in the trap density near the Fermi level using multiwavelength I–V photo-response. This study reports photo-responsive I–V measurements in aggressively scaled devices, crucial for instability and reliability assessments. Furthermore, trap extraction plays a critical role in the effective integration of n-type oxide semiconductors into CMOS technology, providing valuable insights into the performance analysis of applications.
- Research Article
- 10.1063/5.0274160
- Aug 1, 2025
- The Journal of chemical physics
- Sangwoo Ryu + 4 more
Ferroelectric HfZrO2 (HZO) formed by atomic layer deposition (ALD) has been widely studied due to its composition control of material contents and stable ferroelectric properties. However, the effect of various ALD methods on ferroelectric switching dynamics has not been thoroughly investigated. We conduct a comparative study on the differences in ferroelectric (FE) domain wall motion under electrical cycling stress between two ALD methods: thermal ALD (THALD) and plasma-enhanced ALD (PEALD). The extraction of activation energy from fatigue rate and FE switching speed analysis results shows that PEALD HZO has inherent defects during the deposition step, and the FE switching speed of PEALD HZO degraded faster under cycling stress than that of THALD HZO. XPS analysis results show that under cycling stress, oxygen vacancies are formed faster in PEALD than in THALD HZO. Furthermore, dynamic domain phase analysis shows that the electric fields required for switching in the relaxation to creep (E1) change in THALD HZO by +46%, while the electrical fields required for the transition from creep to flow (E2) rarely change under the cycling stress. However, E1 and E2 values of PEALD HZO change by +19% and -10%, respectively, depending on the cycling stress.
- Research Article
- 10.1016/j.ceramint.2025.04.001
- Aug 1, 2025
- Ceramics International
- Jonghyun Bae + 7 more
High-quality BeO films fabricated using discrete feeding plasma-enhanced atomic layer deposition
- Research Article
- 10.1016/j.apsusc.2025.163302
- Aug 1, 2025
- Applied Surface Science
- Minjeong Kweon + 6 more
Yttrium carbide thin film as an emerging transition metal carbide Prepared by plasma-enhanced atomic layer deposition for Dual diffusion barrier applications into Cu and Ru metallization