Abstract

The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope (AFM) dimensional metrology. There are two major instruments being used for traceable AFM measurements at NIST. The first is a custom in-house metrology AFM, called the calibrated AFM (C-AFM), and the second instrument is a commercial critical dimension AFM (CD-AFM). The C-AFM has displacement metrology for all three axes traceable to the 633 nm wavelength of the Iodine-stabilized He-Ne laser. In the current generation of this system, the relative standard uncertainty of pitch and step height measurements is approximately 1.0 x 10<sup>-3</sup> for pitches at the micrometer scale and step heights at the 100 nm scale, as supported by several international comparisons. We expect to surpass this performance level soon. Since the CD-AFM has the capability of measuring vertical sidewalls, it complements the C-AFM. Although it does not have intrinsic traceability, it can be calibrated using standards measured on other instruments - such as the C-AFM, and we have developed uncertainty budgets for pitch, height, and linewidth measurements using this instrument. We use the CD-AFM primarily for linewidth measurements of near-vertical structures. At present, the relative standard uncertainties are approximately 0.2% for pitch measurements and 0.4% for step height measurements. As a result of the NIST single crystal critical dimension reference material (SCCDRM) project, it is possible to calibrate CD-AFM tip width with a 1 nm standard uncertainty. We are now using the CD-AFM to support the next generation of the SCCDRM project. In prototypes, we have observed features with widths as low as 20 nm and having uniformity at the 1 nm level.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call