Abstract

The key optical components of X-ray grating interferometry are gratings, whose profile requirements play the most critical role in acquiring high quality images. The difficulty of etching grating lines with high aspect ratios when the pitch is in the range of a few micrometers has greatly limited imaging applications based on X-ray grating interferometry. A high etching rate with low aspect ratio dependence is crucial for higher X-ray energy applications and good profile control by deep reactive ion etching of grating patterns. To achieve this goal, a modified Coburn–Winters model was applied in order to study the influence of key etching parameters, such as chamber pressure and etching power. The recipe for deep reactive ion etching was carefully fine-tuned based on the experimental results. Silicon gratings with an area of 70 × 70 mm2, pitch size of 1.2 and 2 μm were fabricated using the optimized process with aspect ratio α of ~67 and 77, respectively.

Highlights

  • X-ray grating interferometry is a powerful method for non-destructive X-ray phase contrast imaging of weakly absorbing low atomic number materials which has a high impact in multiple fields, such as medical diagnostics, archeology, material science, etc. [1,2,3,4]

  • The desire to increase the aspect ratio comes from the developing trend of X-ray grating interferometry: the smaller the grating period, the higher the phase contrast sensitivity [6], and the thicker the grating height, the higher the applicable X-ray energy range [7]

  • It has been observed that the etch rate in reactive ion etching depends on the mask-free area (RIE-lag), with smaller etch rates in smaller features [17,18], or the etching is aspect ratio dependent (ARDE), meaning that the etch rate decreases as a function of etch time for a given line width

Read more

Summary

Introduction

X-ray grating interferometry is a powerful method for non-destructive X-ray phase contrast imaging of weakly absorbing low atomic number materials which has a high impact in multiple fields, such as medical diagnostics, archeology, material science, etc. [1,2,3,4]. It has been observed that the etch rate in reactive ion etching depends on the mask-free area (RIE-lag), with smaller etch rates in smaller features [17,18], or the etching is aspect ratio dependent (ARDE), meaning that the etch rate decreases as a function of etch time for a given line width. These effects become relevant and induce the decreasing of the etching rate when fabricating large area gratings with periods in the micrometer range. Clausing [21] describes the transmission probability as a shape-dependent-only quantity, which was determined by the geometry of the channel

Methods
Results
Discussion
Conclusion
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call