Abstract

The complexity of VLSI is still fast increasing due to the pursuit for higher performance as well as the aggressive scaling of semiconductor processes. Electronic Design Automation (EDA) software, therefore, has to deliver escalating computing throughput so that IC design turnaround time can be kept under a reasonable level. Parallelizing will be the only way to unleash the computing power of modern multi-core platforms for accelerated EDA computing. General purpose computing on Graphic Processing Units (GPUs) has recently emerged as an important trend in today's high performance computing. In this paper, we explore the potential of applying EDA computing on GPUs. Specifically, we identify key EDA computing patterns that need to be parallelized and then propose potential solutions using GPUs.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call