Abstract

This paper is dedicated to modeling, design, fabrication and characterization of TSV with embedded capacitor, which integrates a TSV and a 3D MIM capacitor into the same trench. An effective capacitance density of 35nF/mm2 has been demonstrated for the embedded capacitor, which closely matches 37nF/mm2 from analytical prediction. It is found that conventional sputtering technology is inadequate for electrode deposition of high aspect ratio embedded capacitor, despite its lower cost compared to ALD. Significant enhancement in capacitance density can be expected in embedded capacitor compared to its stand-alone trench capacitor counterpart. A value of 1103nF/mm2 is envisioned vs. that of 440nF/mm2 in state-of-the-art multi-layer MIM trench capacitor.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.