Abstract

To scale down semiconductor devices to a size less than the design rule of 10 nm, lithography using a carbon polymer hard-mask was applied, e.g., spin-on-carbon (SOC) film. Spin coating of the SOC film produces a high surface topography induced by pattern density, requiring chemical–mechanical planarization (CMP) for removing such high surface topography. To achieve a relatively high polishing rate of the SOC film surface, the CMP principally requires a carbon–carbon (C-C) bond breakage on the SOC film surface. A new design of CMP slurry evidently accomplished C-C bond breakage via transformation from a hard surface with strong C-C covalent bonds into a soft surface with a metal carbon complex (i.e., C=Fe=C bonds) during CMP, resulting in a remarkable increase in the rate of the SOC film surface transformation with an increase in ferric catalyst concentration. However, this surface transformation on the SOC film surface resulted in a noticeable increase in the absorption degree (i.e., hydrophilicity) of the SOC film CMP slurry on the polished SOC film surface during CMP. The polishing rate of the SOC film surface decreased notably with increasing ferric catalyst concentration. Therefore, the maximum polishing rate of the SOC film surface (i.e., 272.3 nm/min) could be achieved with a specific ferric catalyst concentration (0.05 wt%), which was around seven times higher than the me-chanical-only CMP.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call