Abstract

The reaction of precursor with surface active site is the critical step in atomic layer deposition (ALD) process. We performed the density functional theory calculation with DFT-D correction to study the surface reaction of different silicon chloride precursors during the first half cycle of ALD process. SiCl4, SiH2Cl2, Si2Cl6 and Si3Cl8 were considered as the silicon precursors, and an NH/SiNH2*-terminated silicon nitride surface was constructed to model the thermal ALD processes using NH3 as well as the PEALD processes using NH3 plasma. The total energies of the system were calculated for the geometry-optimized structures of physisorption, chemisorption, and transition state. The order of silicon precursors in energy barrier, from lowest to highest, is Si3Cl8 (0.92eV), Si2Cl6 (3.22eV), SiH2Cl2 (3.93eV) and SiCl4 (4.49eV). Silicon precursor with lower energy barrier in DFT calculation showed lower saturation dose in literature for both thermal and plasma-enhanced ALD of silicon nitride. Therefore, DFT calculation is a promising tool in predicting the reactivity of precursor during ALD process.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call