Abstract

We report subnanometer linewidth uniformity in silicon nanophotonics devices fabricated using high-volume CMOS fabrication tools. We use wavelength-selective devices such as ring resonators, Mach-Zehnder interferometers, and arrayed waveguide gratings to assess the device nonuniformity within and between chips. The devices were fabricated using 193 or 248 nm optical lithography and dry etching in silicon-on-insulator wafer technology. Using 193 nm optical lithography, we have achieved a linewidth uniformity of 2 nm (after lithography) and 2.6 nm (after dry etch) over 200 mm wafer. Furthermore, with the developed fabrication process, using wavelength-selective devices, we have demonstrated a linewidth control better than 0.6 nm within chip and better than 2 nm chip-to-chip. The necessity for high-resolution optical lithography is demonstrated by comparing device nonuniformity between the 248 and 193 nm optical lithography processes.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.