Abstract

The formation of TSVs includes a deep Si trench etching and a formation of a dielectric layer along the high-aspect-ratio Si trench to isolate the filling conductive material from the bulk Si. The isolation of the filling conductor from the silicon substrate becomes more important for higher frequencies due to the high coupling to the silicon. The importance of the oxide thickness on the wall can be verified using electromagnetic simulators, such as HFSS®. A SA-CVD with a pulsed flow of ozone is developed and used to deposit an isolation oxide to the walls of deep silicon trenches. The technique provides a good coating of the 100µm depth silicon trenches with the high aspect ratio of 20. Developed technique allows covering the deep silicon trenches by oxide and makes the high isolation of TSVs from silicon substrate feasible which is the key for performance of TSVs for mm-wave 3D packaging.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call