Abstract

ABSTRACTNext-generation microelectronic interconnects require the use of dielectrics with continuously lower permittivity (k) to overcome limitations induced by crosstalk parasitic signal delay. Using PECVD, Ultralow-k film (ULK, k ≤ 2.5) can be developed by creating pore inclusions within an organosilicate matrix through porogen approach. Both ULK deposition and subsequent curing process has to be adjusted in order to achieve optimized mechanical and electrical properties and maintain stability during integration. For this concern, the attention was recently focused on ultraviolet (UV) radiation to sustain the thermal curing. In the present work, a fundamental understanding of structural transformations occurring during porogen extraction from as-deposited ULK materials when exposed to thermal-assisted UV radiation is proposed. This thermal-assisted UV cure technique is very efficient in porogen removal since in a few minutes the desired porosity is reached. During the first stage of curing, the film shrinks strongly whereas the porosity is created. After porogen removal step, the porous film continues shrinking under UV radiation leading to an increase of SiOSi bonds concentration (film densification). The normalized FTIR SiOSi peak increase during UV curing (related in literature to an improvement of mechanical properties) is mainly due to the film densification, in addition to the SiOSi bridging bond creation. In this case, correlation is found between shrinkage and elastic modulus.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call