Abstract

The machine learning and convolutional neural network (CNN)-based intelligent artificial accelerator needs significant parallel data processing from the cache memory. The separate read port is mostly used to design built-in computational memory (CRAM) to reduce the data processing bottleneck. This memory uses multi-port reading and writing operations, which reduces stability and reliability. In this paper, we proposed a self-adaptive 12T SRAM cell to increase the read stability for multi-port operation. The self-adaptive technique increases stability and reliability. We increased the read stability by refreshing the storing node in the read mode of operation. The proposed technique also prevents the bit-interleaving problem. Further, we offered a butterfly-inspired SRAM bank to increase the performance and reduce the power dissipation. The proposed SRAM saves 12% more total power than the state-of-the-art 12T SRAM cell-based SRAM. We improve the write performance by 28.15% compared with the state-of-the-art 12T SRAM design. The total area overhead of the proposed architecture compared to the conventional 6T SRAM cell-based SRAM is only 1.9 times larger than the 6T SRAM cell.

Highlights

  • Machine and deep learning techniques have been the main driving force of autonomous industries, such as aerospace and automobile industries, in recent years

  • The results show a greater improvement in the read noise margin (RNM) for RSWA12T than for the state-of-the-art 12T static random access memory (SRAM) cell

  • We have proposed three positive feedback-based SRAM bit-cells for highly reliable terrestrial applications

Read more

Summary

Introduction

Machine and deep learning techniques have been the main driving force of autonomous industries, such as aerospace and automobile industries, in recent years. SRAM cells are proposed by the researchers to improve the stability and performance. The 8T SRAM cell improves the read stability using the subthreshold read mode of operation [9,13]. The read stability is increased in PPN 10T and Schmitt trigger-based SRAM, but the write performance is reduced. To improve the stability of the SRAM cell under process variation, BTI, and HCI, the 12T SRAM cell is proposed by [20] It has two write word lines, one read word line, and a data-dependent write mode of operation. To remove the extra control signal and to improve stability using the recharge feedback circuit, we proposed a 12T SRAM cell.

Proposed SRAM Cell
Read and Write Operation
Bit-Interleaving Analysis
SRAM Cell Area Analysis
Stability Analysis
Performance Analysis
Power Dissipation
Findings
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call