Abstract

The term “shot effect” (schroteffekt) was coined in 1918 when Walter Schottky studied electrical noise in vacuum tubes. Earlier still, the foundations of shot noise theory go back to Einstein, who in 1905 explained the photoelectric effect as caused by discrete part particles of light and Brownian motion as caused by discrete particles of matter. When the numbers of particles that affect observable outcomes is large, shot noise effects (variability in number as a fraction of the mean number) becomes small and the continuum approximation (energy and matter are continuous) becomes accurate. For most of the history of semiconductor lithography, the continuum approximation has served well. But at small dimensional scales, where the number of discrete particles or events is small, the counting statistics of shot noise can dominate. The 100 year history of shot noise in science and engineering is today playing a role in our understanding of shot noise in lithography.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call