Abstract

The attenuated phase-shift mask (Att. PSM) is proven to be a promising resolution enhancement technology (RET) to improve the imaging performance in extreme ultraviolet (EUV) lithography. However, due to the reflective nature of the mask structure, the serious shadowing effect can affect the diffraction near field of the mask intensely and further impact the lithography imaging. With the purpose of improving the contrast of lithography imaging, a novel structure of the Att. PSM, to the best of our knowledge, is proposed in this paper. By introducing an absorbent sidewall along the edge of the mask absorber, the diffraction and shadowing effects can be mitigated. By applying the Kirchhoff approximation of mask diffraction, the ability of the novel structure to improve imaging performance is theoretically analyzed. Additionally, these analyses are confirmed by rigorous lithography simulations. The simulation results demonstrate that the proposed mask structure can improve the imaging contrast of EUV lithography, which has potential usage in advanced integrated circuit (IC) manufacturing.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call