Abstract

The impact of hydrocarbon-molecular (C3H6)-ion implantation in an epitaxial layer, which has low oxygen concentration, on the dark characteristics of complementary metal-oxide-semiconductor (CMOS) image sensor pixels was investigated by dark current spectroscopy. It was demonstrated that white spot defects of CMOS image sensor pixels when using a double epitaxial silicon wafer with C3H6-ion implanted in the first epitaxial layer were 40% lower than that when using an epitaxial silicon wafer with C3H6-ion implanted in the Czochralski-grown silicon substrate. This considerable reduction in white spot defects on the C3H6-ion-implanted double epitaxial silicon wafer may be due to the high gettering capability for metallic contamination during the device fabrication process and the suppression effects of oxygen diffusion into the device active layer. In addition, the defects with low internal oxygen concentration were observed in the C3H6-ion-implanted region of the double epitaxial silicon wafer after the device fabrication process. We found that the formation of defects with low internal oxygen concentration is a phenomenon specific to the C3H6-ion-implanted double epitaxial wafer. This finding suggests that the oxygen concentration in the defects being low is a factor in the high gettering capability for metallic impurities, and those defects are considered to directly contribute to the reduction in white spot defects in CMOS image sensor pixels.

Highlights

  • Complementary metal-oxide-semiconductor (CMOS) image sensors are widely used in various applications such as in vehicles, medical equipment, and consumer cameras

  • It is known that the Si(100)/SiO2 interface state defect density in metal-oxide-semiconductor (MOS) transistors is approximately from 1010 to 1011 cm−2 [35]. This indicates that the amount of H diffused from the implanted region of single and double epitaxial Si wafers during the device fabrication process is higher than the interface state density, and this amount is sufficient to passivate the interface state defects at the Si/SiO2 interface

  • We investigated the dark current characteristics of CMOS image sensor pixels with

Read more

Summary

Introduction

Complementary metal-oxide-semiconductor (CMOS) image sensors are widely used in various applications such as in vehicles, medical equipment, and consumer cameras. It is expected that the hydrocarbon-molecular-ion-implanted Si wafer with a double-epitaxial-layer structure, which has a higher gettering capability for metallic impurities, can solve the technical issue caused by metallic-impurity-related defect formation in 3D-stacked BSI. The electrical performances of CMOS image sensor pixels, such as the white spot defects and dark current of the hydrocarbon-molecular-ion-implanted double epitaxial Si wafer, must be investigated because we have not yet obtained direct evidence about the metal-gettering behavior in the device fabrication process. We used white spot defects and dark current measured by DCS as a parameter to investigate the impact of O concentration in the Sensors 2020, 20, x FOR PEER REVIEW hydrocarbon-molecular-ion-implanted region on the electrical performance of CMOS image sensor pixels. Gettering layer for solving the 3D-stacked BSI CMOS image sensor technical issue is proposed

Methods
H6 Discussion
Gettering
H6 -ion-implanted single and double epitaxial
H6 -ion-implanted single and implanted single and double epitaxial
These results suggest that
Gettering Sinks of C3 H6 -Ion-Implanted Double Epitaxial Si Wafers
10. Cross-sectional
12. Isoconcentration
Himplantation
A Arecent study on region recent L-APT study onCu
Appropriate Design of Gettering Layer for 3D-Stacked BSI CMOS Image Sensors
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call