Abstract

We developed silicon epitaxial wafers with high gettering capability by using hydrocarbon–molecular–ion implantation. These wafers also have the effect of hydrogen passivation on process-induced defects and a barrier to out-diffusion of oxygen of the Czochralski silicon (CZ) substrate bulk during Complementary metal-oxide-semiconductor (CMOS) device fabrication processes. We evaluated the electrical device performance of CMOS image sensor fabricated on this type of wafer by using dark current spectroscopy. We found fewer white spot defects compared with those of intrinsic gettering (IG) silicon wafers. We believe that these hydrocarbon–molecular–ion–implanted silicon epitaxial wafers will improve the device performance of CMOS image sensors.

Highlights

  • Complementary metal-oxide-semiconductor (CMOS) image sensors are widely used in smartphones, smartwatches and tablets computers

  • After ion implantation and diffusion annealing for metallic impurities, we used secondary ion mass spectroscopy (SIMS) to measure depth profiles of the metallic impurities in the hydrocarbon–molecular implanted projection range

  • Substantially decrease white-spot counts compared with a conventional silicon wafer. These results show that these wafers have high gettering capability for metallic impurities during silicon wafer)

Read more

Summary

Introduction

Complementary metal-oxide-semiconductor (CMOS) image sensors are widely used in smartphones, smartwatches and tablets computers. Shoyama et al examined the white spot defect dependence on the initial oxygen concentration in the CZ silicon substrate by using the dark current spectroscopy (DCS) [10] They found that oxygen impurities out-diffused to the device active region from the CZ silicon substrate during the CMOS device process. The oxygen impurities form deep energy level defects that act as G-R center in the space-charge region and transfer gate channel region This sort of defect strongly affects the electrical performance parameters of the device. We used dark current spectroscopy to compare the metallic impurity gettering implantation advanced CMOS image sensor fabrication processes. Projection range has a diffusion barrier effect preventing oxygen impurities from We this found that this novel proximity gettering silicon wafer has higher gettering capability out-diffusing from the CZ silicon grown substrate intodescribe the device active region during the CMOS compared with an IG enhanced silicon wafer.

Production of Hydrocarbon–Molecular–Ion–Implanted
Sample Preparation
Evaluation Technique for Hydrocarbon–Molecular–Ion–Implanted Silicon Wafers
Gettering Capability Evaluation Using Dark Current Spectroscopy
Results and Discussion
Gettering Capability Dependence on Gettering Methods for Silicon Wafers
The epitaxial thickness is 7 μm μm and
Cross-sectional
Gettering
11. Histograms
13. Histograms of dark current imagesensor sensor depending on epitaxial
14. Cross-sectional
15. Cross-sectional
Relaxation-Induced Gettering Mechanism
Segregate-Induced Gettering Mechanism
Gettering Technology Design for Back-Side-Illuminated CMOS Image Sensors
17. Gettering
Conclusions
Patents
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call