Abstract

Optical lithography has met the challenge of the Semiconductor Industry's increasing resolution and the tighter overlay requirements by progressively: increasing the optics numerical aperture; shortening the illumination wavelength; and supporting low-k factor processing. This trend continued with the wavelength being shortened to 13 nm for extreme ultraviolet (EUV) lithography tools, and with numerical apertures increased to 1.35 for water-based immersion lithography tools. Currently, water-based, 193 nm, immersion tools are capable of printing at <40 nm (half pitch) resolutions with <6 nm overlay accuracy. For the next lithographic nodes, water-based immersion lithography will be used with double patterning techniques, and this will pushdown to below the 32 nm node. The major challenges for the exposure tools are the tightening of the specifications required with double pattering, while dealing with shrinking process windows. The specifications requirements include increased throughput, tighter overlay, and tighter critical dimension control. New high-speed systems are being developed at ASML to meet these requirements. This paper reviews the possibility of using double patterning to extend immersion lithography tools, beyond the 32 nm node while production EUV lithography is coming on line.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call