Abstract

The design space exploration (DSE) has become a major challenge in microprocessors design due to the increasing complexity of microprocessor architecture and the extremely time-consuming software simulation technology. To more effectively and efficiently perform DSE, recently machine learning techniques are widely explored to build predictive models with a small set of simulations. However, most previous models are supervised models and the training samples are randomly selected. Thus they still suffered from high simulation cost or low prediction accuracy. In order to minimize the simulation overhead for DSE, this paper proposes an efficient DSE method which combines Latin hypercube sampling and semi-supervised ensemble learning technique. Latin hypercube sampling is first employed to select a small set of representative design points for simulation. Then a semi-supervised learning based AdaBoost model (SemiBoost) is proposed to predict the responses of the configurations that have not been simulated. We conduct extensive evaluations on the benchmarks of SPEC CPU2006 suite, and the experimental results demonstrate that the proposed SemiBoost model is superior to existing state-of-the-art models in terms of both efficiency and effectiveness.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.