Abstract

The most efficient branch predictors proposed in academic literature exploit both global branch history and local history. However, local history predictors introduce major design challenges, particularly for the management of speculative histories. The wormhole (WH) branch predictor was recently introduced to exploit branch outcome correlation via multidimensional histories. For some branches encapsulated in a multidimensional loop, their outcomes are correlated with those of the same branch in neighbor iterations, but in the previous outer loop iteration. Unfortunately, the practical implementation of the WH predictor is even more challenging than the implementation of local history predictors. This article introduces a practical, cost-effective mechanism for capturing multidimensional branch correlations: the innermost-loop iteration (IMLI) counter.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call