Abstract

In terms of power and energy consumption, DRAMs play a key role in a modern server system as well as processors. Although power-aware scheduling is based on the proportion of energy between DRAM and other components, when running memory-intensive applications, the energy consumption of the whole server system will be significantly affected by the non-energy proportion of DRAM. Furthermore, modern servers usually use NUMA architecture to replace the original SMP architecture to increase its memory bandwidth. It is of great significance to study the energy efficiency of these two different memory architectures. Therefore, in order to explore the power consumption characteristics of servers under memory-intensive workload, this paper evaluates the power consumption and performance of memory-intensive applications in different generations of real rack servers. Through analysis, we find that: (1) Workload intensity and concurrent execution threads affects server power consumption, but a fully utilized memory system may not necessarily bring good energy efficiency indicators. (2) Even if the memory system is not fully utilized, the memory capacity of each processor core has a significant impact on application performance and server power consumption. (3) When running memory-intensive applications, memory utilization is not always a good indicator of server power consumption. (4) The reasonable use of the NUMA architecture will improve the memory energy efficiency significantly. The experimental results show that reasonable use of NUMA architecture can improve memory efficiency by 16% compared with SMP architecture, while unreasonable use of NUMA architecture reduces memory efficiency by 13%. The findings we present in this paper provide useful insights and guidance for system designers and data center operators to help them in energy-efficiency-aware job scheduling and energy conservation.

Highlights

  • In recent years, memory-based computing is one of the alternative methods to solve many emerging workloads that are constrained by high data-access costs

  • To increase performance under these restrictions, we have proposed the near-DRAM Computing (NDC), near-DRAM acceleration (NDA) architectures, Processing-In-Memory (PIM), Near Data Processing (NDP), or memory-driven computing [30,31,32,33,34]

  • The experimental results show that it can increase the memory energy efficiency by 16% more than the SMP architecture with a reasonable use of non-uniform memory access (NUMA) architecture, but it decreases the memory energy efficiency by 13% than the SMP architecture with an unreasonable use of NUMA architecture

Read more

Summary

Introduction

Memory-based computing is one of the alternative methods to solve many emerging workloads that are constrained by high data-access costs. The non-energy proportionality of DRAM significantly affects the energy consumption of the whole server system, especially for memory-intensive applications. If the processor has fewer cores as most usual configurations of 2 or 4 sockets per node, the memory per core will be significantly greater than 42.6 GB/core From this perspective, the SPECpower results cannot be an ideal and reliable source for an energy. We use the STREAM benchmark to test three rack servers with different workload intensities to study the energy efficiency of large memory servers running memory-intensive applications.

Related Work
Notations of Server Energy Efficiency Evaluation
Experiment Setup
Results of STREAM Workload
Results of NAMD Workload
Results of CloudSuite Workload
Economies of Scale in Memory Utilization
SMP and NUMA Energy Efficiency Comparison
Insights on Energy Efficiency of Memory-Intensive Applications
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call