Abstract

For sub-10 nm technologies, the semiconductor industry is facing the limits of conventional lithography to achieve narrow dimensions. Directed self-assembly (DSA) of block copolymers is one of the most promising solutions to reach sub-10 nm patterns with a high density. One challenge for DSA integration is the removal of poly(methyl methacrylate) (PMMA) selectively to polystyrene (PS). In this paper, the authors propose to study PMMA removal selectively to PS by screening different plasma etch chemistries. The etch mechanisms of the different films have been understood, thanks to x-ray photoelectron spectroscopy analyses performed on blanket wafers. Finally, the best chemistries investigated and being able to remove PMMA selectively to PS have been validated on patterned polystyrene-block-poly(methyl methacrylate) copolymer structure.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call