Abstract

During the last quarter century or so, plasma processing has become a critical industrial technology for the development and manufacture of semiconductor devices. Gaseous plasmas have been used for sputter and chemical vapor deposition of thin films, pattern transfer in mask fabrication, etching of thin films, resist stripping, surface modification and as an ion source in ion implantation. It is the most pervasive technology in the manufacture of silicon-based integrated circuits.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call