Abstract

In order to reduce the interconnect resistance and capacitance (RC) time delay of a semiconductor integrated circuit, a more porous dielectric material is used in recent interconnection for lower dielectric constant. However, it is difficult to use highly porous low-k dielectric materials at the narrow pitch because it is easily damaged during the plasma etching processes. In this study, as one of the plasma induced damage reduction methods in the etching of porous low-k dielectric, RF pulsed plasma methods have been investigated by using a dual frequency capacitively coupled plasma etching system. RF pulsed plasmas generated more polymerizing species and less UV compared to continuous wave plasmas and showed reduced damaged layer compared to the conventional continuous wave plasma etching. Porous SiCOH dielectric patterned with a TiN hard mask was etched using the RF pulsed plasmas and the results showed more anisotropic etching profiles with less sidewall damages, which was estimated by the thickness loss of sidewall low-k material after dipping into a diluted HF solution. Therefore, it is believed that the RF pulsed plasma etching process of ultra low-k dielectric materials can improve the RC time delay related to plasma damage for the next interconnect manufacturing technology.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call