Abstract

Given the importance of complex nanofeatures in the filed of micro-/nanoelectronics particularly in the area of high-density magnetic recording, photonic crystals, information storage, micro-lens arrays, tissue engineering and catalysis, the present work demonstrates the development of new methodology for patterning complex nanofeatures using a recently developed non-chemically amplified photoresist (n-CARs) poly(4-(methacryloyloxy)phenyl)dimethylsulfoniumtriflate) (polyMAPDST) with the help of extreme ultraviolet lithography (EUVL) as patterning tool. The photosensitivity of polyMAPDST is mainly due to the presence of radiation sensitive trifluoromethanesulfonate unit (triflate group) which undergoes photodegradation upon exposure with EUV photons, and thus brings in polarity change in the polymer structure. Integration of such radiation sensitive unit into polymer network avoids the need of chemical amplification which is otherwise needed for polarity switching in the case of chemically amplified photoresists (CARs). Indeed, we successfully patterned highly ordered wide-raging dense nanofeatures that include nanodots, nanowaves, nanoboats, star-elbow etc. All these developed nanopatterns have been well characterized by FESEM and AFM techniques. Finally, the potential of polyMAPDST has been established by successful transfer of patterns into silicon substrate through adaptation of compatible etch recipes.

Highlights

  • Thanks to the remarkable developments of nanotechnology in the last few decades which witness the amazing progress in improving the properties and efficiencies of many end products often used in our everyday life

  • Researchers have focused on the development of non-chemically amplified resists (n-CARs) with improved lithography performance and capable of patterning both isolated and dense nanopatterns to ensure high throughput production of isolated (IC logic gates) and dense areas of devices (dynamic random-access memory (DRAM), ferroelectric random-access memory (FRAM)) for future successful implementations of generation lithography (NGL) technology nodes[19,20,21,22,23,24,25,26,27,28,29,30,31,32,33]

  • The resist solutions were prepared in methanol at 2% by weight in methanol and filtered by 0.2 micron teflon filter to remove large-sized particles in order to form uniform pinhole free resist film onto the silicon substrate

Read more

Summary

Introduction

Thanks to the remarkable developments of nanotechnology in the last few decades which witness the amazing progress in improving the properties and efficiencies of many end products often used in our everyday life. Chemically amplified resists (CARs) have been the workhorses mainly because of their high sensitivity and resolution, they often suffer from the issues of post exposure instability and acid diffusion that affects the LER or LWR of transferred patterns[14,15,16,17,18] To overcome these shortcomings, researchers have focused on the development of non-chemically amplified resists (n-CARs) with improved lithography performance and capable of patterning both isolated and dense nanopatterns to ensure high throughput production of isolated (IC logic gates) and dense areas of devices (dynamic random-access memory (DRAM), ferroelectric random-access memory (FRAM)) for future successful implementations of generation lithography (NGL) technology nodes[19,20,21,22,23,24,25,26,27,28,29,30,31,32,33]. The usefulness of polyMAPDST has been successfully established by transferring features into silicon substrate

Methods
Results
Conclusion
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call