Abstract

For finer linewidth patterning, 0.55 numerical aperture (NA) should be used instead of the existing 0.33 NA. In 0.55 NA extreme ultraviolet lithography (EUVL), to alleviate the mask 3D effect and stochastic noise, which is stronger, it is necessary to develop an optimal phase shift mask (PSM) and multilayer mask for high NA. Mask structure is used PSM with composed of Ru-alloy/TaBO and multilayer composed of ruthenium (Ru)/silicon (Si), which is expected to be effective in mitigating mask 3D effect and improving imaging performance. The absorber reflectance was checked which is changed by variables such as pattern existence, target CD, and pitch ratio. In addition, by examining the relationship between the change in absorber reflectance and normalized image log slope (NILS), it was determined whether the mask structure for high NA was changed by the target pattern changes.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.