Abstract

Dynamic thermal management (DTM) mechanisms utilize embedded thermal sensors to collect fine-grained temperature information for monitoring the real-time thermal behavior of multi-core processors. However, embedded thermal sensors are very susceptible to a variety of sources of noise, including environmental uncertainty and process variation. This causes the discrepancies between actual temperatures and those observed by on-chip thermal sensors, which seriously affect the efficiency of DTM. In this paper, a smoothing filter-based Kalman prediction technique is proposed to accurately estimate the temperatures from noisy sensor readings. For the multi-sensor estimation scenario, the spatial correlations among different sensor locations are exploited. On this basis, a multi-sensor synergistic calibration algorithm (known as MSSCA) is proposed to improve the simultaneous prediction accuracy of multiple sensors. Moreover, an infrared imaging-based temperature measurement technique is also proposed to capture the thermal traces of an advanced micro devices (AMD) quad-core processor in real time. The acquired real temperature data are used to evaluate our prediction performance. Simulation shows that the proposed synergistic calibration scheme can reduce the root-mean-square error (RMSE) by 1.2 C and increase the signal-to-noise ratio (SNR) by 15.8 dB (with a very small average runtime overhead) compared with assuming the thermal sensor readings to be ideal. Additionally, the average false alarm rate (FAR) of the corrected sensor temperature readings can be reduced by 28.6%. These results clearly demonstrate that if our approach is used to perform temperature estimation, the response mechanisms of DTM can be triggered to adjust the voltages, frequencies, and cooling fan speeds at more appropriate times.

Highlights

  • The field of integrated circuit technology is entering the nanometer era

  • The random parameters of thermal sensors are assumed to be of normal distribution, and we set the mean values of these parameters to be the standard values used in the 180-nm fabrication process

  • We first analyze the noise characteristics of on-chip thermal sensors based on the ring oscillator structure and utilize the polynomial fitting technique to establish the non-linear relationship between the sensor temperature and output frequency of ring oscillator

Read more

Summary

Introduction

The field of integrated circuit technology is entering the nanometer era. excessively increased power density leads to high chip temperature, which can result in thermal runaway. A number of studies have taken into account the noise issue associated with sensor readings, such as the statistical methodology [28] and the multi-sensor collaborative calibration algorithm (MSCCA) [29] These techniques lack the ability for real-time prediction which is required for proactive DTM techniques [30]. The noise characteristics of on-chip thermal sensors based on the ring oscillator structure are systematically analyzed On this basis, the polynomial fitting technique is used to establish the non-linear relationship between sensor temperature and oscillation frequency, which can improve the measurement accuracy. To tackle the challenge in temperature estimation of noisy thermal sensors, a smoothing filter-based Kalman prediction technique is proposed to correct the temperatures of on-die sensors in real-time.

Analysis of Noisy Sensor Behavior
Temperature Estimation for Noisy Thermal Sensors
Smoothing Filter-Based Kalman Prediction Technique
Infrared Imaging-Based Temperature Measurement Technique
Experimental Results
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call