Abstract

Dual threshold voltage (dual-Vth) assignment is recognized as a useful technique to reduce the leakage power. However, as the process technology shrinks to the deep sub-micron regime, the negative bias temperature instability (NBTI) effect becomes a serious concern. The NBTI effect may cause the degradation of threshold voltage over a period of months or years. Since previous dual-Vth assignment techniques do not consider the NBTI effect, they often decrease the circuit lifetime. In this paper, we propose an NBTI-aware dual-Vth assignment algorithm. Our objective is not only to reduce the leakage power but also to maintain the lifetime of the circuit. By assigning independent candidate gates to high threshold voltage (HTV) simultaneously, in each benchmark circuit, our approach can achieve a better result with a smaller CPU time. Experimental data consistently show that our approach works well in practice.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call