Abstract

Micro-phase separation for directed self-assembly (DSA) can be executed successfully only when the substrate surface on which the block co-polymer (BCP) is coated has properties that are ideal for attraction to each polymer type. The neutral underlayer (NUL) is an essential and critical component in DSA feasibility. Properties conducive for BCP patterning are primarily dependent on “brush” or “crosslinked” random co-polymer underlayers. Most DSA flows also require a lithography step (reflection control) and pattern transfer schemes at the end of the patterning process. A novel multifunctional hardmask neutral layer (HM NL) was developed to provide reflection control, surface energy matching, and pattern transfer capabilities in a grapho-epitaxy DSA process flow. It was found that the ideal surface energy for the HM NL is in the range of 38-45 dyn/cm. The robustness of the HM NL against exposure to process solvents and developers was identified. Process characteristics of the BCP (thickness, bake time and temperature) on the HM NL were defined. Using the HM NL instead of three distinct layers – bottom anti-reflective coating (BARC) and neutral and hardmask layers – in DSA line-space pitch tripling and contact hole shrinking processes was demonstrated. Finally, the capability of the HM NL to transfer a pattern into a 100-nm spin-on carbon (SOC) layer was shown.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call