Abstract

MBM-2000, the latest multi-beam mask writer of Nuflare Technology, Inc. (NFT), have achieved reasonable writing time in mask fabrication of 3nm semiconductor technology node, which demand small curvilinear patterns in EUV masks and curvilinear OPC patterns in optical masks. For less line edge roughness and better pattern fidelity, however, the demand of lower dose sensitivity resists keeps increasing. In such a situation, the beam exposure time can be the main bottleneck of the writing time. In order to meet the demand, NFT has developed MBM-2000PLUS, which achieved high beam current density of beamlets &times;1.3 larger than MBM-2000. As the result, the writing time became constant up to 170 &mu;C/cm<sup>2</sup> exposure dose condition without confinement by beam exposure time. Even at the region of exposure dose confinement, the writing time became 20% less than MBM-2000 at 200 &mu;C/cm<sup>2</sup> dose condition. In addition, charge effect reduction (CER), which is an electron optics system reducing resist charge effect, has been upgraded from MBM-2000 for improving image placement accuracy. Furthermore, MBM-2000PLUS inherits pixel level dose correction (PLDC) function from MBM-2000 as the solution for less edge placement error and better pattern fidelity. In this paper, those features of MBM2000PLUS are highlighted including improvement of pattern fidelity by PLDC function. In order to verify PLDC, a new methodology of quantitative evaluation of pattern fidelity using sine-shape pattern is introduced.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call