Abstract

Source and mask optimization (SMO) technology based on vectorial image model is indispensable in immersion lithography process at advanced technology node. Many kinds of algorithms have achieved successes in aspect of fast and robust SMO without accounting polarization aberration (PA). However, because the PA arising from immersion projection optics unevenly impacts on imaging performance, the conventional SMO would not be applicable in real lithography system. In this paper, we first investigate the serious impact of PA on SMO in details. The SMO accounting the assigned PA of one field point is not applicable to other field points, where the pattern fidelity is fiercely worse and the pattern error (PAE) is nearly doubled. Then, we innovate a MOSMO method to reduce the uneven impact of PA on lithography imaging at full exposure field. Compared to the assigned PA aware SMO, the proposed MOSMO reduces the standard deviation of PAE distribution by 53.3% and enlarges the maximum exposure latitude from 4% to 6.7%, which demonstrates the MOSMO is very significant to balance imaging quality and improve process robustness at full exposure field.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call