Abstract

Monolithic 3D (M3D) integration has been emerged as a promising technology for fine-grained 3D stacking. As the M3D integration offers extremely small dimension of via in a nanometer-scale, it is beneficial for small microarchitectural blocks such as caches, register files, translation look-aside buffers (TLBs), etc. However, since the M3D integration requires low-temperature process for stacked layers, it causes lower performance for stacked transistors compared to the conventional 2D process. In contrast, non-volatile memory (NVM) such as magnetic RAM (MRAM) is originally fabricated at a low temperature, which enables the M3D integration without performance degradation. In this paper, we propose an energy-efficient unified L2 TLB-cache architecture exploiting M3D-based SRAM/MRAM hybrid memory. Since the M3D-based SRAM/MRAM hybrid memory consumes much smaller energy than the conventional 2D SRAM-only memory and 2D SRAM/MRAM hybrid memory, while providing comparable performance, our proposed architecture improves energy efficiency significantly. Especially, as our proposed architecture changes the memory partitioning of the unified L2 TLB-cache depending on the L2 cache miss rate, it maximizes the energy efficiency for parallel workloads suffering extremely high L2 cache miss rate. According to our analysis using PARSEC benchmark applications, our proposed architecture reduces the energy consumption of L2 TLB + L2 cache by up to 97.7% (53.6% on average), compared to the baseline with the 2D SRAM-only memory, with negligible impact on performance. Furthermore, our proposed technique reduces the memory access energy consumption by up to 32.8% (10.9% on average), by reducing memory accesses due to TLB misses.

Highlights

  • IntroductionMany researchers have studied 3D stacking based on throughsilicon-via (TSV), leading to commercial 3D products such as high bandwidth memory (HBM) [6], [22] and a 3D microprocessor [9]

  • As process technology shrinks, microprocessor performance has been significantly improved

  • We analyze the impact of monolithic 3D (M3D)-based SRAM/magnetic RAM (MRAM) hybrid memory with our proposed unified L2 translation look-aside buffers (TLBs)-cache controller, in terms of L2 TLB miss rate, performance, TABLE 3

Read more

Summary

Introduction

Many researchers have studied 3D stacking based on throughsilicon-via (TSV), leading to commercial 3D products such as high bandwidth memory (HBM) [6], [22] and a 3D microprocessor [9]. (TSV-3D) improves the bandwidth and latency significantly for large 3D stacked DRAMs (i.e., HBM), it is not appropriate for finer-grained 3D integration (e.g., 3D stacking of small caches) due to the micrometer-scale dimension of TSVs; though Intel adopts TSV-3D in their recent commercial processor [9], the TSV-3D is utilized for 3D interconnects between two different (heterogeneous) processor packages, not for the 3D integration of microarchitectural blocks within a single processor. For fine-grained 3D integration, monolithic 3D (M3D) has recently emerged as a promising alternative to TSV-based 3D stacking. TSV-3D is not appropriate for 3D stacking of small architectural blocks

Results
Discussion
Conclusion
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call