Abstract

With the implementation of Cu and low-k dielectrics in back-end-of-line (BEOL), issues arise in every process step that must be addressed. One of the challenges is the susceptibility of low-k materials to attack during residue removal (polymer) after low-k etch and resist strip. This work focuses on the influence of the plasma etch and ash processes on compatibility of porous low-k dielectrics with a selection of wet chemistries that are used for BEOL polymer removal. The low-k material investigated was porous nanoclustered silica. For blanket films, it is shown that the chemical and structural changes to ultra low-k(ULK) by the plasma treatments are the main contributors to the plasma damage. Two wet chemistries including dHF are found to be compatible with most plasma treatments used in this study. It is also demonstrated that chemistry selection for wet cleaning is linked with the plasma treatments used for etch and ash.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call