Abstract

Photonic networks-on-chip (PNoCs) can enable higher bandwidth and lower latency data transfers at the speed of light. Such PNoCs consist of photonic waveguides with dense-wavelength-division-multiplexing (DWDM) for signal traversal and microring resonators (MRs) for signal modulation and reception. To enable MRs to modulate and receive DWDM photonic signals, change in the free-carrier concentration in or operating temperature of MRs through their voltage biasing is essential. But long-term operation of MRs with constant or time-varying temperature and voltage biasing causes aging. Such voltage bias and temperature induced (VBTI) aging in MRs leads to resonance wavelength drifts and Q-factor degradation at the device-level, which in turn exacerbates three key spectral effects at the photonic link level, namely the intermodulation crosstalk, heterodyne crosstalk, and signal sidelobes truncation. These adverse spectral effects ultimately increase signal power attenuation and energy-per-bit in PNoCs. Our frequency-domain analysis of photonic links shows that the use of the four pulse amplitude modulation (4-PAM) signaling instead of the traditional on-off keying (OOK) signaling can proactively reduce signal attenuation caused by the VBTI aging induced spectral effects. Our system-level evaluation results indicate that, compared to OOK based PNoCs with no aging, 4-PAM based PNoCs can achieve 5.5% better energy-efficiency even after undergoing VBTI aging for 3 Years.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call